Jump to content

Can't specify hardware for "slider"?


genie

Recommended Posts

I realized VW won't allow me to specify hardware for slider. Is there a way to distinguish between interior closet slider vs. an exterior glass slider? I have used "slider" for both cases, and now realizing I can't specify hardware even for my closet "slider".

Any suggestions as to how to get around this problem will be greatly appreciated!

Edited by genie
Link to comment

You can just grab the one you want. Find the library file where the door hardware objects are stored here:

/Applications/Vectorworks 2009/Libraries/Defaults/Door - Hardware/Door Hardware.vwx

Just find the one you are want in that file and drop the symbol into your working file. Place it where it should go in relation to the door, class it separately so you can turn it off in top/plan view if you want.

This is also a good method for double doors, since the auto door hardware settings fail horribly on double doors.

If you want the hardware to be included in your door schedule I would just use one of the user fields (door.userfld1) and type in the hardware spec in that column of the worksheet, which will link directly to that door's record.

Link to comment

gmm18,

Thanks for your reply.

I was hoping to generate my hardware schedule by specifying the hardware in the door data "Include Hardware". It sounds like I either have to input hardware sets in the User Field for all doors, or skip the sliders.

I wonder what's the reason for VW to disable "include hardware" for sliders.

Link to comment

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Restore formatting

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...